[1] KIM J, BALANKUTTY A, DOKANIA R K, et al. A 112 Gb/s PAM-4 56 Gb/s NRZ reconfigurable transmitter with three-tap FFE in 10-nm FinFET[J]. IEEE Journal of Solid-State Circuits, 2018, 54(1): 29-42.
[2] FRANS Y, SHIN J, ZHOU L, et al. A 56-Gb/s PAM4 wireline transceiver using a 32-way time-interleaved SAR ADC in 16-nm FinFET[J]. IEEE Journal of Solid-State Circuits, 2017, 52(4): 1101-1110.
[3] INDEX C V N. Global mobile data traffic forecast update, 2016–2021[M]. white paper, 2017, 7.
[4] REINSEL D, GANTZ J, RYDNING J. The digitization of the world from edge to core[J]. Framingham: International Data Corporation, 2018: 16.
[5] ZHENG K, FRANS Y, CHANG K, et al. A 56 Gb/s 6 mW 300 um 2 inverter-based CTLE for short-reach PAM2 applications in 16 nm CMOS[C]//2018 IEEE Custom Integrated Circuits Conference (CICC). IEEE, 2018: 1-4.
[6] MUSAH T, JAUSSI J E, BALAMURUGAN G, et al. A 4–32 Gb/s bidirectional link with 3-tap FFE/6-tap DFE and collaborative CDR in 22 nm CMOS[J]. IEEE Journal of Solid-State Circuits, 2014, 49(12): 3079-3090.
[7] CHEN Y, MAK P I, WANG Y. A highly-scalable analog equalizer using a tunable and current-reusable for 10-Gb/s I/O links[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2014, 23(5): 978-982.
[8] TOPRAK-DENIZ Z, PROESEL J E, BULZACCHELLI J F, et al. A 128 Gb/s 1.3 pJ/b PAM-4 transmitter with reconfigurable 3-tap FFE in 14-nm CMOS[J]. IEEE Journal of Solid-State Circuits, 2019, 55(1): 19-26.
[9] Altera Corporation. The Evolution of High-Speed Transceiver Technology[EB/OL]. 2002, https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/wp/wp_hs_transceiver.pdf
[10] Institute of Electrical and Electronics Engineers, IEEE Standard for Scalable Co-herent Interface (SCI)[S]. IEEE Std 1596-1992. 1992.
[11] KNOCHENHAUER C, SCHEYTT J C, ELLINGER F. A Compact, Low-Power 40-Gbit/s Modulator Driver With 6-V Differential Output Swing in 0.25-μm SiGe BiCMOS[J]. IEEE Journal of Solid-State Circuits, 2011, 46(5): 1137-1146.
[12] ZANDIEH A, SCHVAN P, VOINIGESCU S P. Linear large-swing push–pull SiGe BiCMOS drivers for silicon photonics modulators[J]. IEEE Transactions on Mi-crowave Theory and Techniques, 2017, 65(12): 5355-5366.
[13] SHAHRAMIAN S, LEE J, WEINER J, et al. A 112Gb/s 4-PAM Transceiver Chipset in 0.18 µm SiGe BiCMOS Technology for Optical Communication Sys-tems[C]//2015 IEEE Compound Semiconductor Integrated Circuit Symposium (CSICS). IEEE, 2015: 1-4.
[14] 汤捍淳. 高速串行链路发射机的设计[D]. 上海交通大学, 2019: 26-27.
[15] 宋新宇. 带预加重的PAM4发射电路的设计与实现[D]. 东南大学, 2019: 19-20.
[16] BELFIORE G, SZILAGYI L, HENKER R, et al. Design of a 56 Gbit/s 4-level pulse-amplitude-modulation inductor-less vertical-cavity surface-emitting laser driver integrated circuit in 130 nm BiCMOS technology[J]. IET Circuits, Devices & Systems, 2015, 9(3): 213-220.
[17] BELFIORE G, HENKER R, ELLINGER F. 90 Gbit/s 4-level pulse-amplitude-modulation vertical-cavity surface-emitting laser driver integrated circuit in 130 nm SiGe technology[C]//2016 IEEE MTT-S Latin America Microwave Conference (LAMC). IEEE, 2016: 1-3.
[18] BELFIORE G, HENKER R, ELLINGER F. The effect of strong equalization in high-speed VCSEL-based optical communications up to 48 Gbit/s[C]//2016 IEEE Bipolar/BiCMOS Circuits and Technology Meeting (BCTM). IEEE, 2016: 13-16.
[19] MICHALZIK R. VCSEL fundamentals[M]//VCSELs. Springer, Berlin, Heidelberg, 2013: 19-75.
[20] SEURIN J F, GHOSH C L, KHALFIN V, et al. High-power high-efficiency 2D VCSEL arrays[C]//Vertical-Cavity Surface-Emitting Lasers XII. SPIE, 2008, 6908: 45-58.
[21] TATUM J A, GAZULA D, GRAHAM L A, et al. VCSEL-based interconnects for current and future data centers[J]. Journal of Lightwave Technology, 2015, 33(4): 727-732.
[22] OHHATA K, SEKI K, IMAMURA H, et al. A 90-nm CMOS 4 × 10 Gb/s VCSEL driver using asymmetric emphasis technique for optical interconnection[C]//2008 Asia-Pacific Microwave Conference. IEEE, 2008: 1-4.
[23] BELFIORE G, KHAFAJI M, HENKER R, et al. A 50 Gb/s 190 mW asymmetric 3-tap FFE VCSEL driver[J]. IEEE Journal of Solid-State Circuits, 2017, 52(9): 2422-2429.
[24] RAMON H, LAMBRECHT J, VERBIST J, et al. 70 Gb/s low-power DC-coupled NRZ differential electro-absorption modulator driver in 55 nm SiGe BiCMOS[J]. Journal of Lightwave Technology, 2019, 37(5): 1504-1514.
[25] LÓPEZ I G, RITO P, ZIMMERMANN L, et al. A 40 Gbaud SiGe: C BiCMOS driv-er for InP segmented MZMs with integrated DAC functionality for PAM-16 genera-tion[C]//2016 IEEE MTT-S International Microwave Symposium (IMS). IEEE, 2016: 1-4.
[26] GOSWAMI S, COPANI T, JAIN A, et al. A 96 Gb/s-throughput transceiver for short-distance parallel optical links[C]//2008 IEEE International Solid-State Cir-cuits Conference-Digest of Technical Papers. IEEE, 2008: 230-609.
[27] KUCHARSKI D, KWARK Y, KUCHTA D, et al. A 20 Gb/s VCSEL driver with pre-emphasis and regulated output impedance in 0.13 μm CMOS[C]//ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005. IEEE, 2005: 222-594.
[28] HU S, BAI R, WANG X, et al. A 4 × 25 Gb/s Optical Transmitter Using Low-Cost 10 Gb/s VCSELs in 40-nm CMOS[J]. IEEE Photonics Technology Letters, 2019, 31(12): 967-970.
[29] ZHENG XQ, DING H, ZHAO F, et al. A 50–112-Gb/s PAM-4 transmitter with a fractional-spaced FFE in 65-nm CMOS[J]. IEEE Journal of Solid-State Circuits, 2020, 55(7): 1864-1876.
[30] LIAO QW, QI N, LI MF, et al. A 50-Gb/s PAM4 Si-photonic transmitter with digi-tal-assisted distributed driver and integrated CDR in 40-nm CMOS[J]. IEEE Jour-nal of Solid-State Circuits, 2020, 55(5): 1282-1296.
[31] CIUBOTARU A A, GARCÍA J S. An integrated direct-coupled 10-Gb/s driver for common-cathode VCSELs[J]. IEEE Journal of Solid-State Circuits, 2004, 39(3): 426-433.
[32] PALERMO S, EMAMI-NEYESTANAK A, HOROWITZ M. A 90 nm CMOS 16 Gb/s transceiver for optical interconnects[J]. IEEE Journal of Solid-State Circuits, 2008, 43(5): 1235-1246.
[33] DONG Y, MARTIN K W. A high-speed fully-integrated POF receiver with large-area photo detectors in 65 nm CMOS[J]. IEEE Journal of Solid-State Circuits, 2012, 47(9): 2080-2092.
[34] HWANG J, CHOI H S, DO H, et al. A 64 Gb/s 2.29 pJ/b PAM-4 VCSEL transmitter with 3-tap asymmetric FFE in 65nm CMOS[C]//2019 Symposium on VLSI Circuits. IEEE, 2019: C268-C269.
[35] CHOI M, WANG Z, LEE K, et al. 8 an output-bandwidth-optimized 200 Gb/s PAM-4 100 Gb/s NRZ transmitter with 5-tap FFE in 28 nm CMOS[C]//2021 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, 2021, 64: 128-130.
[36] KIM J, KUNDU S, BALANKUTTY A, et al. 8.1 A 224 Gb/s DAC-based PAM-4 transmitter with 8-tap FFE in 10 nm CMOS[C]//2021 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, 2021, 64: 126-128.
[37] POULTON J W, WILSON J M, TURNER W J, et al. A 1.17-pJ/b, 25-Gb/s/pin ground-referenced single-ended serial link for off-and on-package communication using a process-and temperature-adaptive voltage regulator[J]. IEEE Journal of Sol-id-State Circuits, 2018, 54(1): 43-54.
[38] PARK H, SONG J, SIM J, et al. 30-Gb/s 1.11-pJ/bit single-ended PAM-3 transceiv-er for high-speed memory links[J]. IEEE Journal of Solid-State Circuits, 2020, 56(2): 581-590.
[39] JEONG Y U, PARK H, HYUN C, et al. A 28-Gb/s/pin PAM-4 single-ended trans-mitter with high-linearity and impedance-matched driver and 3-point ZQ calibra-tion for memory interfaces[C]//2020 IEEE Symposium on VLSI Circuits. IEEE, 2020: 1-2.
[40] CRESSLER J D. SiGe HBT technology: A new contender for Si-based RF and mi-crowave circuit applications[J]. IEEE Transactions on Microwave Theory and tech-niques, 1998, 46(5): 572-589.
[41] PAUL D J. Si/SiGe heterostructures: from material and physics to devices and cir-cuits[J]. Semiconductor Science and Technology, 2004, 19(10): R75.
[42] HEINEMANN B, BARTH R, BOLZE D, et al. SiGe HBT technology with fT/fmax of 300 GHz/500 GHz and 2.0 ps CML gate delay[C]//2010 International Electron De-vices Meeting. IEEE, 2010: 30.5. 1-30.5.4.
[43] 彭嘉豪. 基于差分编码技术的12.5 Gbps高速SerDes发射机设计[D]. 重庆邮电大学, 2020: 11-20.
[44] 谢波. 高速SerDes发射机关键技术研究[D]. 上海交通大学, 2020: 7.
[45] HU JF, ZHANG Z, PAN Q. A 15-Gb/s 0.0037-mm² 0.019-pJ/bit full-rate program-mable multi-pattern pseudo-random binary sequence generator[J]. IEEE Transac-tions on Circuits and Systems II: Express Briefs, 2020, 67(9): 1499-1503.
[46] 胡俊峰. 基于40 nm的全速率多模式伪随机信号发生器的研究与设计[D]. 哈尔滨工业大学, 2020: 1-7.
[47] LI ZH, TANG MZ, FAN TY, et al. A 56-Gb/s PAM4 Receiver Analog Front-End With Fixed Peaking Frequency and Bandwidth in 40-nm CMOS[J]. IEEE Transac-tions on Circuits and Systems II: Express Briefs, 2021, 68(9): 3058-3062.
[48] QIU Z, LUO XS, LI ZH, et al. A 720-mVPP 224-Gb/s PAM4 Optical Receiver with Multiple Peaking Techniques in 130-nm SiGe BiCMOS[C]//2021 IEEE Asia Pacific Conference on Circuit and Systems (APCCAS). IEEE, 2021: 249-252.
[49] XU DF, QIU Z, LUO XS, et al. Fully-Differential 100-Gb/s PAM4 Cross-Coupled Regulated Transimpedance Amplifier[C]//2020 IEEE International Conference on Integrated Circuits, Technologies and Applications (ICTA). IEEE, 2020: 90-91.
[50] XU DF, KOU Y, LAI P, et al. A Scalable Adaptive ADC/DSP-Based 1.25-to-56-Gbps/112-Gbps High-Speed Transceiver Architecture Using Decision-Directed MMSE CDR in 16nm and 7nm[C]//2021 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, 2021, 64: 134-136.
[51] CEVRERO A, OZKAYA I, FRANCESE P A, et al. 6.1 A 100 Gb/s 1.1 pJ/b PAM-4 RX with dual-mode 1-tap PAM-4/3-tap NRZ speculative DFE in 14nm CMOS Fin-FET[C]//2019 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, 2019: 112-114.
[52] GROEN E, BOECKER C, HOSSAIN M, et al. 6.3 A 10-to-112 Gb/s DSP-DAC-Based Transmitter with 1.2 V ppd Output Swing in 7 nm FinFET[C]//2020 IEEE International Solid-State Circuits Conference-(ISSCC). IEEE, 2020: 120-122.
[53] PENG P J, CHEN Y T, LAI S T, et al. 6.7 a 112 Gb/s PAM-4 voltage-mode trans-mitter with 4-tap two-step FFE and automatic phase alignment techniques in 40nm CMOS[C]//2019 IEEE International Solid-State Circuits Conference-(ISSCC). IEEE, 2019: 124-126.
[54] XIAO WB, HUANG QW, MOSALAM H, et al. A 6.15–10.9 Gb/s 0.58 pJ/bit Ref-erence-Less Half-Rate Clock and Data Recovery With “Phase Reset” Scheme[J]. IEEE Transactions on Circuits and Systems I: Regular Papers, 2022, 69(2): 634-644,
[55] RAZAVI B. Design of integrated circuits for optical communications[M]. John Wiley & Sons, 2012: 16-18.
[56] HALL S H, HECK H L. Advanced signal integrity for high-speed digital de-signs[M]. 2009: 552-559.
[57] HUANG YZ. Crosstalk in Wideband Wireline Systems – Curse and Blessing[D]. Department of Electrical and Information Technology, Lund University, 2015: 20.
[58] BOGATIN E著, 李玉山,刘洋等译. 信号完整性与电源完整性分析.第2版[M]. 电子工业出版社, 2015: 157.
[59] SUN R, PARK J, O’MAHONY F, et al. A low-power, 20-Gb/s continuous-time adaptive passive equalizer[C]//2005 IEEE International Symposium on Circuits and Systems (ISCAS). IEEE, 2005: 920-923.
[60] PARK H, SONG J, LEE Y, et al. 23.3 A 3-bit/2UI 27Gb/s PAM-3 single-ended transceiver using one-tap DFE for next-generation memory interface[C]//2019 IEEE International Solid-State Circuits Conference-(ISSCC). IEEE, 2019: 382-384.
[61] CHIU P W, KIM C. 22.4 A 32Gb/s digital-intensive single-ended PAM-4 trans-ceiver for high-speed memory interfaces featuring a 2-tap time-based decision feedback equalizer and an in-situ channel-loss monitor[C]//2020 IEEE Internation-al Solid-State Circuits Conference-(ISSCC). IEEE, 2020: 336-338.
[62] MCCOLLOUGH K, HUSS S D, VANDERSAND J, et al. A 480Gb/s/mm 1.7 pJ/b short-reach wireline transceiver using single-ended NRZ for die-to-die applica-tions[C]//2021 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, 2021, 64: 1-3.
[63] MANIAN A, RANE A, KOH Y. A Simultaneous Bidirectional Single-Ended Coaxi-al Link with 24-Gb/s Forward and 312.5-Mb/s Back Channels[C]//ESSCIRC 2018-IEEE 44th European Solid State Circuits Conference (ESSCIRC). IEEE, 2018: 178-181.
修改评论