[1] LECUN Y, BENGIO Y, HINTON G. Deep learning[J]. Nature, 2015, 521(7553): 436-444.
[2] SILVER D, SCHRITTWIESER J, SIMONYAN K, et al. Mastering the game of go without human knowledge[J]. Nature, 2017, 550(7676): 354-359.
[3] WELSER J, PITERA J W, GOLDBERG C. Future computing hardware for AI[C]// Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), 2018. IEEE: 1.3.1-1.3.6.
[4] HUANG M Q, LIU Y C, MAN C H, et al. A High Performance Multi-Bit-Width Booth Vector Systolic Accelerator for NAS Optimized Deep Learning Neural Networks[J]. IEEE Transactions on Circuits and Systems I: Regular Papers, 2022, 69(9): 3619-3631.
[5] DAVIES M, SRINIVASA N, LIN T-H, et al. Loihi: A neuromorphic manycore processor with on-chip learning[J]. IEEE Micro, 2018, 38(1): 82-99.
[6] YAN B N, LI B, QIAO X M, et al. Resistive Memory-Based In-Memory Computing: From Device and Large-Scale Integration System Perspectives[J]. Advanced Intelligent Systems, 2019, 1(7): 1900068.
[7] WANG Z R, WU H Q, BURR G W, et al. Resistive switching materials for information processing[J]. Nature Reviews Materials, 2020, 5(3): 173-195.
[8] XI Y, GAO B, TANG J S, et al. In-memory Learning with Analog Resistive Switching Memory: A Review and Perspective[J]. Proceedings of the IEEE, 2021, 109(1): 14-42.
[9] PREZIOSO M, MERRIKH-BAYAT F, HOSKINS B D, et al. Training and operation of an integrated neuromorphic network based on metal-oxide memristors[J]. Nature, 2015, 521(7550): 61-64.
[10] YAO P, WU H Q, GAO B, et al. Face classification using electronic synapses[J]. Nature Communications, 2017, 8: 15199.
[11] 陈子轩. 基于阻变存储器的神经网络电路设计[D]. 北京:清华大学微电子系与纳电子系, 2017.
[12] ZHOU H J, CHEN J, WANG Y N, et al. Energy-Efficient Memristive Euclidean Distance Engine for Brain-Inspired Competitive Learning[J]. Advanced Intelligent Systems, 2021, 3(11): 2100114.
[13] BISWAS A, CHANDRAKASAN A P. Conv-RAM: An Energy-Efficient SRAM with Embedded Convolution Computation for Low-Power CNN-Based Machine Learning Applications[C]// Proceedings of the 65th IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, Feb 11-15, 2018: 488.
[14] SU J-W, CHOU Y-C, LIU R, et al. A 8-b-Precision 6T SRAM Computing-in-Memory Macro Using Segmented-Bitline Charge-Sharing Scheme for AI Edge Chips[J]. IEEE Journal of Solid-State Circuits, 2022, 58(3): 877-892.
[15] JIANG Z W, YIN S H, SEO J-S, et al. C3SRAM: An In-Memory-Computing SRAM Macro Based on Robust Capacitive Coupling Computing Mechanism[J]. IEEE Journal of Solid-State Circuits, 2020, 55(7): 1888-1897.
[16] YU S M, CHEN P-Y. Emerging Memory Technologies: Recent Trends and Prospects[J]. IEEE Solid-State Circuits Magazine, 2016, 8(2): 43-56.
[17] ZHOU W, JIN L, CUI J M, et al. Temporal Correlation Detection Based on 3D NAND Flash In-Memory Computing[J]. IEEE Electron Device Letters, 2022, 43(6): 874-877.
[18] CHUA L. Memristor-The Missing Circuit Element[J]. IEEE Transactions on Circuit Theory, 1971, 18(5): 507-519.
[19] STRUKOV D B, SNIDER G S, STEWART D R, et al. The missing memristor found[J]. Nature, 2008, 453(7191): 80-83.
[20] BURR G W, BREITWISCH M J, FRANCESCHINI M, et al. Phase change memory technology[J]. Journal of Vacuum Science & Technology B, 2010, 28(2): 223-262.
[21] CHAPPERT C, FERT A, VAN DAU F N. The emergence of spin electronics in data storage[J]. Nature Materials, 2007, 6(11): 813-823.
[22] MIKOLAJICK T, SCHROEDER U, SLESAZECK S. The past, the present, and the future of ferroelectric memories[J]. IEEE Transactions on Electron Devices, 2020, 67(4): 1434-1443.
[23] GAO B, WU H Q, WU W, et al. Modeling Disorder Effect of the Oxygen Vacancy Distribution in Filamentary Analog RRAM for Neuromorphic Computing[C]// Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), 2017: 4.4.1-4.4.4.
[24] MARC B, DAMIEN D, HASSEN A, et al. Robust compact model for bipolar oxide-based resistive switching memories[J]. IEEE Transactions on Electron Devices, 2014, 61(3): 674-681.
[25] GAO B, KANG J F, CHEN Y S, et al. Oxide-based RRAM Unified microscopic principle for both unipolar and bipolar switching [C]// Proceedings of the IEEE International Electron Devices Meeting (IEDM), 2011: 17.4.1-17.4.4.
[26] LIU Y M, GAO J L, WU F, et al. The Origin of CBRAM With High Linearity, On/Off Ratio, and State Number for Neuromorphic Computing[J]. IEEE Transactions on Electron Devices, 2021, 68(5): 2568-2571.
[27] ALEXANDER S, JOHANNES B, ALI K, et al. Unsupervised learning in probabilistic neural networks with multi-state metal-oxide memristive synapses[J]. Nature Communications, 2016, 7(1): 12611.
[28] WEI Z Q, KANZAWA Y, ARITA K, et al. Highly reliable TaOx ReRAM and direct evidence of redox reaction mechanism[C]// Proceedings of the 2008 IEEE International Electron Devices Meeting, 2008: 1-4.
[29] CHIEN W C, CHEN Y C, CHANG K P, et al. Multi-level Operation of Fully CMOS Compatible WOx Resistive Random Access Memory (RRAM)[C]// Proceedings of the IEEE International Memory Workshop, Monterey, CA, May 10-14, 2009: 15.
[30] CHANDRASEKARAN S, SIMANJUNTAK F M, PANDA D, et al. Enhanced Synaptic Linearity in ZnO-Based Invisible Memristive Synapse by Introducing Double Pulsing Scheme[J]. IEEE Transactions on Electron Devices, 2019, 66(11): 4722-4726.
[31] HUANG J N, HUANG H M, XIAO Y, et al. Memristive devices based on Cu-doped NbOx films with large self-rectifying ratio[J]. Solid State Ionics, 2021, 369: 115732.
[32] BAI Y, ZHANG Y, WU H Q, et al. High-density WOx-based RRAM with a W-doped AlOx insertion layer[C]// Proceedings of the 5th IEEE International Memory Workshop (IMW), Monterey, CA, May 26-29, 2013: 120-123.
[33] ZHAO X Y, ZHANG K L, HU K, et al. Self-Rectifying Al2O3/TaOx Memristor With Gradual Operation at Low Current by Interfacial Layer[J]. IEEE Transactions on Electron Devices, 2021, 68(12): 6100-6105.
[34] MOHANTY S K, REDDY P K, PRASAD O K, et al. Interface Engineering for 3-Bit per Cell Multilevel Resistive Switching in AlN Based Memristor[J]. IEEE Electron Device Letters, 2021, 42(12): 1770-1773.
[35] KIM H, MAHMOODI M R, NILI H, et al. 4K-memristor analog-grade passive crossbar circuit[J]. Nature Communications, 2021, 12(1): 1-11.
[36] MAHATA C, ISMAIL M, KIM S. Conductance quantization control and neuromorphic properties in Pt-nanoparticle incorporated HfAlOx alloy memristor[J]. Applied Physics Letters, 2021, 119(22): 221601.
[37] LAN J, LI Z X, CHEN Z J, et al. Improved Performance of HfxZnyO-Based RRAM and its Switching Characteristics down to 4K Temperature[J]. Advanced Electronic Materials, 2023, 9(3): 2201250.
[38] LI L H, XUE K H, ZOU L Q, et al. Multilevel switching in Mg-doped HfOx memristor through the mutual-ion effect[J]. Applied Physics Letters, 2021, 119(15): 113505.
[39] CHEN W-C, QIN S, YU Z, et al. Reduced HfO₂ Resistive Memory Variability by Inserting a Thin SnO₂ as Oxygen Stopping Layer[J]. IEEE Electron Device Letters, 2021, 42(12): 1778-1781.
[40] BAI N, TIAN B, MAO G-Q, et al. Homo-layer hafnia-based memristor with large analog switching window[J]. Applied Physics Letters, 2021, 118(4): 043502.
[41] HUANG X D, LI Y, LI H Y, et al. Forming-Free, Fast, Uniform, and High Endurance Resistive Switching From Cryogenic to High Temperatures in W/AlOx/Al2O3/Pt Bilayer Memristor[J]. IEEE Electron Device Letters, 2020, 41(4): 549-552.
[42] LI X Y, WU H Q, WU M H, et al. Effect of oxygen profiles on the RS characteristics of bilayer TaOx/TaOy based RRAM[C]// Proceedings of the IEEE International Conference of Electron Devices and Solid-State Circuits (EDSSC), Hong Kong, PEOPLES R CHINA, Jun 03-05, 2013.
[43] KIM T-H, NILI H, KIM M-H, et al. Reset-voltage-dependent precise tuning operation of TiOx/Al2O3 memristive crossbar array[J]. Applied Physics Letters, 2020, 117(15): 152103.
[44] HAO Z Q, GAO B, XU M H, et al. Cryogenic HfOₓ-Based Resistive Memory With a Thermal Enhancement Capping Layer[J]. IEEE Electron Device Letters, 2021, 42(9): 1276-1279.
[45] PANG H, DENG N. A Forming-Free Bipolar Resistive Switching in HfOx-Based Memory with a Thin Ti Cap[J]. Chinese Physics Letters, 2014, 31(10): 107303.
[46] WU L, LIU H X, LIN J F, et al. Volatile and Nonvolatile Memory Operations Implemented in a Pt/HfO/Ti Memristor[J]. IEEE Transactions on Electron Devices, 2021, 68(4): 1622-1626.
[47] ZHENG H-X, CHANG T-C, XUE K-H, et al. Reducing Forming Voltage by Applying Bipolar Incremental Step Pulse Programming in a 1T1R Structure Resistance Random Access Memory[J]. IEEE Electron Device Letters, 2018, 39(6): 815-818.
[48] SUN J, TAN J B, CHEN T. HfOx-Based RRAM Device With Sandwich-Like Electrode for Thermal Budget Requirement[J]. IEEE Transactions on Electron Devices, 2020, 67(10): 4193-4200.
[49] WU W, WU H Q, GAO B, et al. Improving Analog Switching in HfOx-Based Resistive Memory With a Thermal Enhanced Layer[J]. IEEE Electron Device Letters, 2017, 38(8): 1019-1022.
[50] LUO Q, XU X X, GONG T C, et al. 8-layers 3D Vertical RRAM with Excellent Scalability towards Storage Class Memory Applications[C]// Proceedings of the 63rd IEEE Annual International Electron Devices Meeting (IEDM), San Francisco, CA, Dec 02-06, 2017: 48-51.
[51] CHAKRABORTY I, ALI M, ANKIT A, et al. Resistive Crossbars as Approximate Hardware Building Blocks for Machine Learning: Opportunities and Challenges[J]. Proceedings of the IEEE, 2020, 108(12): 2276-2310.
[52] YU S M. Resistive Random Access Memory (RRAM)[M]. 2016, 2(5): 1-79.
[53] LIN Q, LI Y, XU M, et al. Dual-Layer Selector With Excellent Performance for Cross-Point Memory Applications[J]. IEEE Electron Device Letters, 2018, 39(4): 496-499.
[54] WOO J, LEE D, CHA E, et al. Vertically Stacked ReRAM Composed of a Bidirectional Selector and CB-RAM for Cross-Point Array Applications[J]. IEEE Electron Device Letters, 2013, 34(12): 1512-1514.
[55] 王珊. 金属氧化物型阻变存储器操作方式优化的研究[D]. 北京:清华大学微电子系与纳电子系, 2018.
[56] MAHESHWARI S, STATHOPOULOS S, WANG J Q, et al. Design Flow for Hybrid CMOS/Memristor Systems—Part I: Modeling and Verification Steps[J]. IEEE Transactions on Circuits and Systems I: Regular Papers, 2021, 68(12): 4862-4875.
[57] HUANG M Q, ZHAO G C, WANG X L, et al. Global-Gate Controlled One-Transistor One-Digital-Memristor Structure for Low-Bit Neural Network[J]. IEEE Electron Device Letters, 2020, 42(1): 106-109.
[58] LIN P, LI C, WANG Z R, et al. Three-dimensional memristor circuits as complex neural networks[J]. Nature Electronics, 2020, 3(4): 225-232.
[59] HUO Q, YANG Y M, WANG Y M, et al. A computing-in-memory macro based on three-dimensional resistive random-access memory[J]. Nature Electronics, 2022, 5(7): 469-477.
[60] HU M, GRAVES C E, LI C, et al. Memristor-Based Analog Computation and Neural Network Classification with a Dot Product Engine[J]. Advanced Materials, 2018, 30(9): 1705914.
[61] CAI F, CORRELL J M, LEE S H, et al. A fully integrated reprogrammable memristor–CMOS system for efficient multiply–accumulate operations[J]. Nature Electronics, 2019, 2(7): 290-299.
[62] YAO P, WU H Q, GAO B, et al. Fully hardware-implemented memristor convolutional neural network[J]. Nature, 2020, 577(7792): 641-646.
[63] JIANG H W, LI W T, HUANG S S, et al. Analog-to-Digital Converter Design Exploration for Compute-in-Memory Accelerators[J]. IEEE Design & Test, 2022, 39(2): 48-55.
[64] LI C, BELKIN D, LI Y N, et al. Efficient and self-adaptive in-situ learning in multilayer memristor neural networks[J]. Nature Communications, 2018, 9(1): 2385.
[65] ZHANG W, PAN L S, YAN X L, et al. Hardware-Friendly Stochastic and Adaptive Learning in Memristor Convolutional Neural Networks[J]. Advanced Intelligent Systems, 2021, 3(9): 2100041.
[66] LIU Q, GAO B, YAO P, et al. A fully integrated analog ReRAM based 78.4 TOPS/W compute-in-memory chip with fully parallel MAC computing[C]// Proceedings of the 2020 IEEE International Solid-State Circuits Conference-(ISSCC), 2020: 500-502.
[67] WANG C H, SI Z G, JIANG X F, et al. Multi-State Memristors and Their Applications: An Overview[J]. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2022, 12(4): 723-734.
[68] SHAFIEE A, NAG A, MURALIMANOHAR N, et al. ISAAC: A Convolutional Neural Network Accelerator with In-Situ Analog Arithmetic in Crossbars[C]// Proceedings of the 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA), 2016.
[69] ANKIT A, HAJJ I E, CHALAMALASETTI S R, et al. PUMA: A Programmable Ultra-efficient Memristor-based Accelerator for Machine Learning Inference[C]// Proceedings of the Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019: 14-26.
[70] ANKIT A, HAJJ I E, CHALAMALASETTI S R, et al. PANTHER: A Programmable Architecture for Neural Network Training Harnessing Energy-Efficient ReRAM[J]. IEEE Transactions on Computers, 2020, 69(8): 1128-1142.
[71] CHAKRABORTY I, ALI M F, KIM D E, et al. GENIEx A Generalized Approach to Emulating Non-Ideality in Memristive Xbars using Neural Networks[C]// Proceedings of the 2020 57th ACM/IEEE Design Automation Conference (DAC), 2020.
[72] DIWARE S, GEBREGIORGIS A, JOSHI R V, et al. Unbalanced Bit-slicing Scheme for Accurate Memristor-based Neural Network Architecture[C]// Proceedings of the 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS), 2021: 1-4.
[73] LIU D B, ZHOU H X, MAO W, et al. An Energy-Efficient Mixed-Bit CNN Accelerator with Column Parallel Readout for ReRAM-based In-memory Computing[J]. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2022, 12(4): 821-834.
修改评论