[1]Lecun Y, Bengio Y, Hinton G. Deep learning[J]. Nature, 2015, 521(7553):436.
[2]Silver D, Schrittwieser J, Simonyan K, et al.Mastering the game of Go without human knowledge[J]. Nature, 2017, 550(7676):354-359.
[3]Brockman G, Cheung V, Pettersson L, et al. Openai gym[J]. arXiv preprint arXiv:1606.01540, 2016.
[4]Brown T, Mann B, Ryder N, et al. Language models are few-shot learners[J]. Advances in neural information processing systems, 2020, 33: 1877-1901.
[5]He K, Gkioxari G, Dollár P, et al. Mask r-cnn[C]//Proceedings of the IEEE international conference on computer vision. 2017: 2961-2969.
[6]Hannun A, Case C, Casper J, et al. Deep speech: Scaling up end-to-end speech recognition[J]. arXiv preprint arXiv:1412.5567, 2014.
[7]Li J. Recent advances in end-to-end automatic speech recognition[J]. APSIPA Transactions on Signal and Information Processing, 2022, 11(1).
[8]Volodymyr M, Koray K, David S, et al.Human-level control through deep reinforcement learning[J]. Nature, 2015, 518(7540):529-33.
[9]郝雪洁. 基于深度神经网络的细粒度图像分类方法研究[D]. 电子科技大学, 2020.
[10]Welser J, Pitera J W, Goldberg C. Future computing hardware for AI[C]//2018 IEEE International Electron Devices Meeting (IEDM). IEEE, 2018: 1.3.1-1.3.6.
[11]Li H, Ota K, Dong M. Learning IoT in Edge: Deep Learning for the Internet of Things with Edge Computing[J]. IEEE Network, 2018, 32(1):96-101.
[12]Khan W Z, Ahmed E, Hakak S, et al. Edge computing: A survey[J].Future Generation Computer Systems, 2019, 97(AUG.):219-235.
[13]Chai Y. In-sensor computing for machine vision[J]. Nature, 2020, 579: 32-33.
[14]Zhou F, Chai Y. Near-sensor and in-sensor computing[J]. Nature Electronics, 2020, 3(11):664-671.
[15]Strukov D B, Snider G S, Stewart D R, et al. Erratum: The missing memristor found[J]. Nature, 2008, 453(7191): 80-83.
[16]Burr G W, Breitwisch M J, Franceschini M, et al. Phase change memory technology[J]. Journal of Vacuum Science & Technology B Microelectronics & Nanometer Structures, 2010, 28(2):223-262.
[17]Shin D, Yoo H J. The Heterogeneous Deep Neural Network Processor With a Non-von Neumann Architecture[J]. Proceedings of the IEEE, 2019, 108(8): 1245-1260.
[18]Deng L, Li G, Han S, et al. Model Compression and Hardware Acceleration for Neural Networks: A Comprehensive Survey[J]. Proceedings of the IEEE, 2020, 108(4):485-532.
[19]Waldrop M M. The chips are down for Moore’s law[J]. Nature News, 2016, 530(7589): 144.
[20]Borkar S. Thousand core chips: a technology perspective[C]//Proceedings of the 44th annual design automation conference. 2007: 746-749.
[21]Huang Y. Cross-Layer Optimization for Power-Efficient and Robust Digital Circuits and Systems[J]. arXiv preprint arXiv:1712.03948, 2017.
[22]Yan B, Li B, Qiao X, et al.Resistive Memory-Based In-Memory Computing: From Device and Large-Scale Integration System Perspectives[J]. Advanced Intelligent Systems, 2019, 1(7).
[23]Jhang C J, Xue C X, Hung J M, et al. Challenges and Trends of SRAM-Based Computing-In-Memory for AI Edge Devices[J]. Circuits and Systems I: Regular Papers, IEEE Transactions on, 2021, PP(99):1-14.
[24]郭昕婕,存内计算芯片研究进展及应用[J]. 电子与信息学报, vol. 45, no. 05, pp. 1888-1898, 2023.
[25]毛海宇,舒继武,李飞,内存计算研究进展[J]. 中国科学:信息科学, vol. 51, no. 02, pp. 173-205, 2021.
[26]岳珂娟, 冯·诺依曼体系结构在计算机组成原理教学中的重要性[J]. 计算机教育, no. 24, pp. 99-101, 2012.
[27]陈涛.基于FPGA的DDR3内存控制器的研究与设计[D].成都理工大学,2015.
[28]McKee S A. Reflections on the memory wall[C]//Proceedings of the 1st conference on Computing frontiers. 2004: 162.
[29]Zou X, Sheng X U, Chen X, et al. Breaking the von Neumann bottleneck:architecture-level processing-in-memory technology[J]. 中国科学:信息科学(英文版), 2021, 64(6):10.
[30]Sze V, Chen Y H, Yang T J, et al. Efficient Processing of Deep Neural Networks: A Tutorial and Survey[J]. Proceedings of the IEEE, 2017, 105(12).
[31]Gaster B, Howes L, Kaeli D R, et al. Heterogeneous computing with openCL: revised openCL 1[M]. Newnes, 2012.
[32]Singh G, Chelini L, Corda S, et al. Near-memory computing: Past, present, and future[J]. Microprocessors and Microsystems, 2019, 71: 102868.
[33]Kim J, Kim Y. HBM: Memory solution for bandwidth-hungry processors[C]//2014 IEEE Hot Chips 26 Symposium (HCS). IEEE, 2014: 1-24.
[34]Wilkes M V. The memory wall and the CMOS end-point[J]. ACM SIGARCH Computer Architecture News, 1995, 23(4): 4-6.
[35]Somavat P, Namboodiri V. Energy consumption of personal computing including portable communication devices[J]. Journal of Green Engineering, 2011, 1(4): 447-475.
[36]Yu S, Jiang H, Huang S, et al. Compute-in-memory chips for deep learning: Recent trends and prospects[J]. IEEE circuits and systems magazine, 2021, 21(3): 31-56.
[37]Singh H, Lee M H, Lu G, et al. MorphoSys: A reconfigurable architecture for multimedia applications[C]//Proceedings. XI Brazilian Symposium on Integrated Circuit Design (Cat. No. 98EX216). IEEE, 1998: 134-139.
[38]Kogge P M. EXECUBE-A new architecture for scaleable MPPs[C]//1994 International Conference on Parallel Processing Vol. 1. IEEE, 1994, 1: 77-84.
[39]Patterson,David,Anderson, et al. A case for intelligent RAM[J]. IEEE Micro, 1997, 17(2):34-34.
[40]Kang Y, Huang W, Yoo S M, et al. FlexRAM: Toward an advanced intelligent memory system[C]//2012 IEEE 30th International Conference on Computer Design (ICCD). IEEE, 2012: 5-14.
[41]Austin T M. DIVA: A reliable substrate for deep submicron microarchitecture design[C]//MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture. IEEE, 1999: 196-207.
[42]Keitel-Schulz D, Wehn N. Issues in embedded DRAM development and applications[C]//Proceedings. 11th International Symposium on System Synthesis (Cat. No. 98EX210). IEEE, 1998: 23-28.
[43]Zidan M A, Strachan J P, Lu W D. The future of electronics based on memristive systems[J]. Nature electronics, 2018, 1(1): 22-29.
[44]Merrikh-Bayat F, Guo X, Klachko M, et al. High-performance mixed-signal neurocomputing with nanoscale floating-gate memory cell arrays[J]. IEEE transactions on neural networks and learning systems, 2017, 29(10): 4782-4790.
[45]Apalkov D, Khvalkovskiy A, Watts S, et al. Spin-transfer torque magnetic random access memory (STT-MRAM)[J]. ACM Journal on Emerging Technologies in Computing Systems (JETC), 2013, 9(2): 1-35.
[46]STRUKOV D B, SNIDER G S, STEWART D R, et al. The missing memristor found[J]. Nature, 2008, 453(7191): 80-83.
[47]Prezioso M, Merrikh-Bayat F, Hoskins B D, et al. Training and operation of an integrated neuromorphic network based on metal-oxide memristors[J]. Nature, 2015, 521(7550): 61-64.
[48]Fu Y, Zhou Y, Huang X, et al. Reconfigurable synaptic and neuronal functions in a V/VOx/HfWOx/Pt memristor for nonpolar spiking convolutional neural network[J]. Advanced Functional Materials, 2022, 32(23): 2111996.
[49]Kim S, Park J, Kim T H, et al. 4-bit Multilevel Operation in Overshoot Suppressed Al2O3/TiOx Resistive Random-Access Memory Crossbar Array[J]. Advanced Intelligent Systems, 2022, 4(9): 2100273.
[50]Qin S, Tung M, Belliveau E, et al. 8-Layer 3D Vertical Ru/AlO x N y/TiN RRAM with Mega-Ω Level LRS for Low Power and Ultrahigh-density Memory[C]//2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits). IEEE, 2022: 314-315.
[51]Yao P, Wu H, Gao B, et al. Fully hardware-implemented memristor convolutional neural network[J]. Nature, 2020, 577(7792): 641-646.
[52]Guo X, Bayat F M, Prezioso M, et al. Temperature-insensitive analog vector-by-matrix multiplier based on 55 nm NOR flash memory cells[C]//2017 IEEE Custom Integrated Circuits Conference (CICC). IEEE, 2017: 1-4.
[53]Choi E, Choi I, Lukito V, et al. A 333TOPS/W Logic-Compatible Multi-Level Embedded Flash Compute-In-Memory Macro with Dual-Slope Computation[C]//2023 IEEE Custom Integrated Circuits Conference (CICC). IEEE, 2023: 1-2.
[54]Kang M, Keel M S, Shanbhag N R, et al. An energy-efficient VLSI architecture for pattern recognition via deep embedding of computation in SRAM[C]//2014 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP). IEEE, 2014: 8326-8330.
[55]Kang M, Gonugondla S K, Keel M S, et al. An energy-efficient memory-based high-throughput VLSI architecture for convolutional networks[C]//2015 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP). IEEE, 2015: 1037-1041.
[56]Kang M, Shanbhag N R. In-memory computing architectures for sparse distributed memory[J]. IEEE transactions on biomedical circuits and systems, 2016, 10(4): 855-863.
[57]Wang D, Lin C T, Chen G K, et al. DIMC: 2219TOPS/W 2569F2/b digital in-memory computing macro in 28nm based on approximate arithmetic hardware[C]//2022 IEEE international solid-state circuits conference (ISSCC). IEEE, 2022, 65: 266-268.
[58]He Y, Diao H, Tang C, et al. 7.3 a 28nm 38-to-102-TOPS/W 8b multiply-less approximate digital SRAM compute-in-memory macro for neural-network inference[C]//2023 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, 2023: 130-132.
[59]Fujiwara H, Mori H, Zhao W C, et al. A 5-nm 254-TOPS/W 221-TOPS/mm 2 fully-digital computing-in-memory macro supporting wide-range dynamic-voltage-frequency scaling and simultaneous MAC and write operations[C]//2022 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, 2022, 65: 1-3.
[60]Xie S, Ni C, Sayal A, et al. 16.2 eDRAM-CIM: Compute-in-memory design with reconfigurable embedded-dynamic-memory array realizing adaptive data converters and charge-domain computing[C]//2021 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, 2021, 64: 248-250.
[61]Lim D Y, Jung I J, Kim D H, et al. Computing-In-Memory Using 1T1C Embedded DRAM Cell with Micro Sense Amplifier for Enhancing Throughput[C]//2022 IEEE International Conference on Consumer Electronics-Asia (ICCE-Asia). IEEE, 2022: 1-4.
[62]Song J, Tang X, Luo H, et al. A calibration-free 15-level/cell eDRAM computing-in-memory macro with 3T1C current-programmed dynamic-cascoded MLC achieving 233-to-304-TOPS/W 4b MAC[C]//2023 IEEE Custom Integrated Circuits Conference (CICC). IEEE, 2023: 1-2.
[63]Niu D, Li S, Wang Y, et al. 184QPS/W 64Mb/mm 2 3D logic-to-DRAM hybrid bonding with process-near-memory engine for recommendation system[C]//2022 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, 2022, 65: 1-3.
[64]Lee J M, Park D S, Yew S, et al. Novel approach for the reduction of leakage current characteristics of 20 nm DRAM capacitors with ZrO2–based high-k dielectrics[J]. IEEE Electron Device Letters, 2017, 38(11): 1524-1527.
[65]Pešić M, Knebel S, Geyer M, et al. Low leakage ZrO2 based capacitors for sub 20 nm dynamic random access memory technology nodes[J]. Journal of Applied Physics, 2016, 119(6).
[66]Jang S H, Lim J, Han J, et al. A fully integrated low voltage DRAM with thermally stable gate-first high-k metal gate process[C]//2019 IEEE International Electron Devices Meeting (IEDM). IEEE, 2019: 28.4. 1-28.4. 3.
[67]Huang M, Si S, He Z, et al. A 3D stackable 1T1C DRAM: Architecture, process integration and circuit simulation[C]//2023 IEEE International Memory Workshop (IMW). IEEE, 2023: 1-4.
[68]Okhonin S, Nagoga M, Sallese J M, et al. A capacitor-less 1T-DRAM cell[J]. IEEE Electron Device Letters, 2002, 23(2): 85-87.
[69]Song K W, Jeong H, Lee J W, et al. 55 nm capacitor-less 1T DRAM cell transistor with non-overlap structure[C]//2008 IEEE International Electron Devices Meeting. IEEE, 2008: 1-4.
[70]Navarro C, Karg S, Marquez C, et al. Capacitor-less dynamic random access memory based on a III–V transistor with a gate length of 14 nm[J]. Nature Electronics, 2019, 2(9): 412-419.
[71]Chun K C, Jain P, Kim T H, et al. A 1.1 V, 667MHz random cycle, asymmetric 2T gain cell embedded DRAM with a 99.9 percentile retention time of 110µsec[C]//2010 Symposium on VLSI Circuits. IEEE, 2010: 191-192.
[72]Oota M, Ando Y, Tsuda K, et al. 3D-stacked CAAC-In-Ga-Zn oxide FETs with gate length of 72nm[C]//2019 IEEE International Electron Devices Meeting (IEDM). IEEE, 2019: 3.2. 1-3.2. 4.
[73]Kunitake H, Ohshima K, Tsuda K, et al. High thermal tolerance of 25-nm c-axis aligned crystalline In-Ga-Zn oxide FET[C]//2018 IEEE International Electron Devices Meeting (IEDM). IEEE, 2018: 13.6. 1-13.6. 4.
[74]Mo F, Tagawa Y, Jin C, et al. Experimental demonstration of ferroelectric HfO 2 FET with ultrathin-body IGZO for high-density and low-power memory application[C]//2019 Symposium on VLSI Technology. IEEE, 2019: T42-T43.
[75]Han K, Kong Q, Kang Y, et al. First demonstration of oxide semiconductor nanowire transistors: A novel digital etch technique, IGZO channel, nanowire width down to~ 20 nm, and Ion exceeding 1300 μA/μm[C]//2021 Symposium on VLSI Technology. IEEE, 2021: 1-2.
[76]Chen K, Niu J, Yang G, et al. Scaling Dual-Gate Ultra-thin a-IGZO FET to 30 nm Channel Length with Record-high G m, max of 559 µS/µm at VDS= 1 V, Record-low DIBL of 10 mV/V and Nearly Ideal SS of 63 mV/dec[C]//2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits). IEEE, 2022: 298-299.
[77]Belmonte A, Oh H, Rassoul N, et al. Capacitor-less, long-retention (> 400s) DRAM cell paving the way towards low-power and high-density monolithic 3D DRAM[C]//2020 IEEE International Electron Devices Meeting (IEDM). IEEE, 2020: 28.2. 1-28.2. 4.
[78]Lu W, Zhu Z, Chen K, et al. First Demonstration of Dual-Gate IGZO 2T0C DRAM with Novel Read Operation, One Bit Line in Single Cell, ION= 1500 μA/μm@ V DS= 1V and Retention Time> 300s[C]//2022 International Electron Devices Meeting (IEDM). IEEE, 2022: 26.4. 1-26.4. 4.
[79]Li Q, Gu C, Zhu S, et al. BEOL-Compatible High-Performance a-IGZO Transistors with Record high Ids, max=1207μA/μm and on-off ratio exceeding 1011 at Vds= 1V[C]//2022 International Electron Devices Meeting (IEDM). IEEE, 2022: 2.7. 1-2.7. 4.
[80]Hu Q, Gu C, Zhu S, et al. Capacitorless DRAM cells based on high-performance indium-tin-oxide transistors with record data retention and reduced write latency[J]. IEEE Electron Device Letters, 2022, 44(1): 60-63.
[81]Xiong W, Luo B, Meng W, et al. Atomic-Layer-Deposited Ultrathin InAlZnO FETs-Based 2T0C DRAM Cells With Long Data Retention and Multilevel Storage[J]. IEEE Transactions on Electron Devices, 2024, 71(4): 2393-2398.
[82]Deng Q, Jiang L, Zhang Y, et al. DrAcc: A DRAM based accelerator for accurate CNN inference[C]//Proceedings of the 55th annual design automation conference. 2018: 1-6.
[83]Ha H, Pedram A, Richardson S, et al. Improving energy efficiency of dram by exploiting half page row access[C]//2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 2016: 1-12.
[84]董承远.薄膜晶体管原理及应用[M]. 2016, 北京:清华大学出版社. 2-5.
[85]Kenji Nomura, H. O. Kazushige Ueda, M. H. Toshio Kamiya, et al. Thin-Film Transistor Fabricated in Single-Crystalline Transparent Oxide Semiconductor[J]. Science, 2003,300(5632): 1269-1272.
[86]Kenji Nomura, Akihiro Takagi, Toshio Kamiya, et al. Room-temperature fabrication of transparent flexible thin-film transistors using amorphous oxide semiconductors[J]. Nature,2004,432(7016): 488-492.
[87]Samanta S, Han K, Sun C, et al. Amorphous IGZO TFTs featuring extremely-scaled channel thickness and 38 nm channel length: Achieving record high Gm, max of 125 μS/μm at VDS of 1 V and ION of 350 μA/μm[C]//2020 IEEE Symposium on VLSI Technology. IEEE, 2020: 1-2.
[88]Subhechha S, Rassoul N, Belmonte A, et al. Ultra-low Leakage IGZO-TFTs with Raised Source/Drain for V t> 0 V and I on> 30 µA/µm[C]//2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits). IEEE, 2022: 292-293.
[89]Guo J, Zhao Y, Yang G, et al. A new surface potential based compact model for independent dual gate a-IGZO TFT: Experimental verification and circuit demonstration[C]//2020 IEEE International Electron Devices Meeting (IEDM). IEEE, 2020: 22.6. 1-22.6. 4.
[90]Hu Q, Li Q, Zhu S, et al. Optimized IGZO FETs for Capacitorless DRAM with Retention of 10 ks at RT and 7 ks at 85° C at Zero V hold with Sub-10 ns Speed and 3-bit Operation[C]//2022 International Electron Devices Meeting (IEDM). IEEE, 2022: 26.6. 1-26.6. 4.
[91]M. A. Shahin. State-of-the-art review of some artificial intelligence applications in pile foundations[J]. Geoscience Frontiers, 2016, 7(01):33-44.
[92]Shafiee A, Nag A, Muralimanohar N, et al. ISAAC: A convolutional neural network accelerator with in-situ analog arithmetic in crossbars[J]. ACM SIGARCH Computer Architecture News, 2016, 44(3): 14-26.
[93]ZHANG S, HUANG K J, SHEN H B. A Robust 8-Bit Non-Volatile Computing-in-Memory Core for Low-Power Parallel MAC Operations[J]. IEEE Transactions on Circuits and Systems I: Regular Papers, 2020, 67(6): 1867-1880.LeCun Y, Bottou L, Bengio Y, et al. Gradient-based learning applied to document recognition[J]. Proceedings of the IEEE, 1998, 86(11): 2278-2324.
修改评论